Model { Name "TEST_PDH" Version 8.7 MdlSubVersion 1 SavedCharacterEncoding "Shift_JIS" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.483" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 } ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 CloseFcn ";slview('closediagram',bdroot);" LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [-5.0, 0.0, 1287.0, 685.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [0] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [2482.0, 1118.0] ZoomFactor [0.8] Offset [-522.53371212121283, 32.5] } } } Created "Thu Dec 23 09:59:29 1999" Creator "controls" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "shogo" ModifiedDateFormat "%" LastModifiedDate "Thu Aug 04 13:57:35 2016" RTWModifiedTimeStamp 392219767 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "all" WideLines on ShowLineDimensions on ShowPortDataTypes off ShowEditTimeErrors on ShowEditTimeWarnings off ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off VariantCondition off ExecutionContextIcon off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip on BlockDescriptionStringDataTip off ToolBar off StatusBar off BrowserShowLibraryLinks off FunctionConnectors off BrowserLookUnderMasks off SimulationMode "external" PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 6 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "TEST_PDH" Array { Type "Cell" Dimension 1 Cell "TEST_PDH" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "oneshot" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect off ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 7 Version "1.16.2" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 8 Version "1.16.2" StartTime "0.0" StopTime "10.0" AbsTol "1e-6" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "SingleTasking" EnableConcurrentExecution off ConcurrentTasks off Solver "ode45" SolverName "ode45" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverInfoToggleStatus off IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 9 Version "1.16.2" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints off MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 10 Version "1.16.2" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction off BooleanDataType off ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 128 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 11 Version "1.16.2" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "EnableAllAsError" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim on ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" IntegerSaturationMsg "warning" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on } Simulink.HardwareCC { $ObjectID 12 Version "1.16.2" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown on ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on } Simulink.ModelReferenceCC { $ObjectID 13 Version "1.16.2" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 14 Version "1.16.2" SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 15 Version "1.16.2" Array { Type "Cell" Dimension 15 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" Cell "CodeExecutionProfiling" Cell "CodeProfilingSaveOptions" Cell "CodeProfilingInstrumentation" PropName "DisabledProps" } SystemTargetFile "grt.tlc" HardwareBoard "None" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off TemplateMakefile "grt_unix.tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 16 Version "1.16.2" Array { Type "Cell" Dimension 22 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InternalIdentifier" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 17 Version "1.16.2" Array { Type "Cell" Dimension 14 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "SupportNonInlinedSFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "GenerateAllocFcn" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on InferredTypesCompatibility off GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 18 Version "1.16.2" Description "Simulink Coverage Configuration Component" Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off CovSFcnEnable on CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 } PropName "Components" } Name "Configuration" CurrentDlgPage "Optimization" ConfigPrmDlgPosition [ 217, 90, 953, 604 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 7 } Object { $PropName "DataTransfer" $ObjectID 19 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "off" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Terminator } } System { Name "TEST_PDH" Location [-5, 0, 1282, 685] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "80" ReportName "simulink-default.rpt" SIDHighWatermark "1902" Block { BlockType Gain Name "\n" SID "1893" Position [-30, 285, 0, 315] ZOrder 468 BlockRotation 270 Gain "-1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "AOM" SID "1884" Ports [1, 1] Position [60, 475, 140, 515] ZOrder 457 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "AOM" IC "[]" } Block { BlockType Reference Name "Actuator AOM\nHz/V" SID "1852" Ports [1, 1] Position [270, 475, 350, 515] ZOrder 274 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Act_AOM" IC "[]" } Block { BlockType Reference Name "Actuator Mass\nHz/V \n" SID "1869" Ports [1, 1] Position [270, 385, 350, 425] ZOrder 443 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Act_mass" IC "[]" } Block { BlockType Sum Name "Add1" SID "1899" Ports [2, 1] Position [-110, 125, -80, 165] ZOrder 474 Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add2" SID "1900" Ports [2, 1] Position [370, 125, 400, 165] ZOrder 475 Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add3" SID "1902" Ports [2, 1] Position [30, 285, 70, 315] ZOrder 477 BlockRotation 270 Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Cavity Pole\nHz/sqrt(Hz)" SID "1881" Ports [1, 1] Position [245, 112, 335, 158] ZOrder 454 BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Cavity_Pole" IC "[]" } Block { BlockType Reference Name "Changing Unit\nm/sqrt(Hz)-->Hz/sqrtHz\n" SID "1897" Ports [1, 1] Position [335, 272, 425, 318] ZOrder 472 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Changing_unit" IC "[]" } Block { BlockType Reference Name "Filter Green\n V " SID "1883" Ports [1, 1] Position [652, 220, 698, 310] ZOrder 456 BlockRotation 270 BlockMirror on BackgroundColor "lightBlue" NamePlacement "alternate" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Filt_GR" IC "[]" } Block { BlockType Reference Name "Filter Green\nV\n" SID "1851" Ports [1, 1] Position [475, 475, 555, 515] ZOrder 273 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Filt_GR1" IC "[]" } Block { BlockType Reference Name "Filter Mass\nV" SID "1868" Ports [1, 1] Position [475, 385, 555, 425] ZOrder 442 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Filt_mass" IC "[]" } Block { BlockType Reference Name "Hz / sqrtHz\n" SID "1889" Ports [1, 1] Position [110, 299, 185, 351] ZOrder 462 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Pendulum" IC "[]" } Block { BlockType Reference Name "Hz/sqrtHz" SID "1890" Ports [1, 1] Position [215, 299, 290, 351] ZOrder 463 BlockMirror on BackgroundColor "lightBlue" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "inverted_pend" IC "[]" } Block { BlockType Reference Name "PROMETHEUS after PLL" SID "1854" Tag "NbNoiseCal" Ports [0, 1] Position [55, 52, 80, 68] ZOrder 276 BackgroundColor "[0.000000, 1.000000, 0.498039]" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseCal" SourceType "" ContentPreviewEnabled off dof "'TST'" unit "'Frequency noise [Hz/rtHz]'" } Block { BlockType Reference Name "PROMETHEUS frequency noise\nHz/sqrt(Hz)" SID "1856" Tag "NbNoiseSource" Ports [0, 1] Position [-365, 127, -340, 143] ZOrder 279 BackgroundColor "orange" Commented "on" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "10000./freq" chan "''" groupNest "1" group "'frequency noise'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "PROMETHEUS_after_PLL" SID "1861" Tag "NbNoiseSink" Ports [1, 1] Position [250, 52, 275, 68] ZOrder 435 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSink" SourceType "" ContentPreviewEnabled off dof "'TST'" asd "''" chan "''" } Block { BlockType Reference Name "SHG\nIR-->GR\n" SID "1878" Ports [1, 1] Position [-270, 112, -180, 158] ZOrder 451 BackgroundColor "lightBlue" Commented "on" LibraryVersion "1.220" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "SHG_1" IC "[]" } Block { BlockType Reference Name "SHG frequency noise\nHz/sqrt(Hz)" SID "1880" Tag "NbNoiseSource" Ports [0, 1] Position [-175, 217, -150, 233] ZOrder 453 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "1e-5.*freq" chan "''" groupNest "1" group "'frequency noise'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "Seismic motion noise\nm/sqrt(Hz)" SID "1891" Tag "NbNoiseSource" Ports [0, 1] Position [515, 282, 540, 298] ZOrder 464 BlockMirror on BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "1e-9./freq./freq" chan "''" groupNest "1" group "'frequency noise'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "Senser PDH\nV/Hz\n" SID "1850" Ports [1, 1] Position [445, 112, 535, 158] ZOrder 272 BackgroundColor "lightBlue" LibraryVersion "1.220" FontName "Arial" SourceBlock "cstblocks/LTI System" SourceType "LTI Block" ContentPreviewEnabled off sys "Sen" IC "[]" } Block { BlockType Reference Name "Shot noise frequency\nHz/sqrt(Hz)" SID "1866" Tag "NbNoiseSource" Ports [0, 1] Position [400, 202, 425, 218] ZOrder 440 BlockMirror on BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "8e-6" chan "''" groupNest "1" group "'frequency noise'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Sum Name "Sum1" SID "1885" Ports [2, 1] Position [195, 485, 215, 505] ZOrder 458 BlockMirror on NamePlacement "alternate" ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum3" SID "1865" Ports [2, 1] Position [40, 125, 60, 145] ZOrder 467 ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum5" SID "1872" Ports [2, 1] Position [150, 50, 170, 70] ZOrder 446 ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum8" SID "1882" Ports [2, 1] Position [-25, 125, -5, 145] ZOrder 455 NamePlacement "alternate" ShowName off IconShape "round" Inputs "|++" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Terminator Name "Terminator" SID "1873" Position [390, 50, 410, 70] ZOrder 447 } Block { BlockType Reference Name "VCO noise\nHz/sqrt(Hz)" SID "1887" Tag "NbNoiseSource" Ports [0, 1] Position [175, 547, 200, 563] ZOrder 460 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "5e-5./freq" chan "''" groupNest "1" group "'frequency noise'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Line { ZOrder 45 SrcBlock "Filter Green\nV\n" SrcPort 1 DstBlock "Actuator AOM\nHz/V" DstPort 1 } Line { ZOrder 46 SrcBlock "Filter Mass\nV" SrcPort 1 DstBlock "Actuator Mass\nHz/V \n" DstPort 1 } Line { ZOrder 304 SrcBlock "Actuator Mass\nHz/V \n" SrcPort 1 Points [-225, 0] DstBlock "Add3" DstPort 1 } Line { ZOrder 76 SrcBlock "Sum5" SrcPort 1 DstBlock "PROMETHEUS_after_PLL" DstPort 1 } Line { ZOrder 77 SrcBlock "PROMETHEUS after PLL" SrcPort 1 DstBlock "Sum5" DstPort 1 } Line { ZOrder 81 SrcBlock "PROMETHEUS_after_PLL" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { ZOrder 142 SrcBlock "PROMETHEUS frequency noise\nHz/sqrt(Hz)" SrcPort 1 DstBlock "SHG\nIR-->GR\n" DstPort 1 } Line { ZOrder 274 SrcBlock "Add1" SrcPort 1 Points [17, 0; 0, -10] DstBlock "Sum8" DstPort 1 } Line { ZOrder 158 SrcBlock "Senser PDH\nV/Hz\n" SrcPort 1 Points [135, 0] DstBlock "Filter Green\n V " DstPort 1 } Line { ZOrder 159 SrcBlock "Filter Green\n V " SrcPort 1 Points [0, 90] Branch { ZOrder 162 Points [0, 90] DstBlock "Filter Green\nV\n" DstPort 1 } Branch { ZOrder 161 DstBlock "Filter Mass\nV" DstPort 1 } } Line { ZOrder 163 SrcBlock "Actuator AOM\nHz/V" SrcPort 1 DstBlock "Sum1" DstPort 1 } Line { ZOrder 164 SrcBlock "Sum1" SrcPort 1 DstBlock "AOM" DstPort 1 } Line { ZOrder 165 SrcBlock "VCO noise\nHz/sqrt(Hz)" SrcPort 1 DstBlock "Sum1" DstPort 2 } Line { ZOrder 211 SrcBlock "AOM" SrcPort 1 Points [-70, 0] DstBlock "\n" DstPort 1 } Line { ZOrder 171 SrcBlock "Hz/sqrtHz" SrcPort 1 DstBlock "Hz / sqrtHz\n" DstPort 1 } Line { ZOrder 205 SrcBlock "Sum8" SrcPort 1 DstBlock "Sum3" DstPort 1 } Line { ZOrder 212 SrcBlock "\n" SrcPort 1 DstBlock "Sum8" DstPort 2 } Line { ZOrder 242 SrcBlock "Seismic motion noise\nm/sqrt(Hz)" SrcPort 1 Points [-40, 0; 0, 5] DstBlock "Changing Unit\nm/sqrt(Hz)-->Hz/sqrtHz\n" DstPort 1 } Line { ZOrder 237 SrcBlock "Sum3" SrcPort 1 DstBlock "Cavity Pole\nHz/sqrt(Hz)" DstPort 1 } Line { ZOrder 246 SrcBlock "Changing Unit\nm/sqrt(Hz)-->Hz/sqrtHz\n" SrcPort 1 Points [-17, 0; 0, 30] DstBlock "Hz/sqrtHz" DstPort 1 } Line { ZOrder 272 SrcBlock "SHG\nIR-->GR\n" SrcPort 1 DstBlock "Add1" DstPort 1 } Line { ZOrder 273 SrcBlock "SHG frequency noise\nHz/sqrt(Hz)" SrcPort 1 Points [10, 0; 0, -70] DstBlock "Add1" DstPort 2 } Line { ZOrder 275 SrcBlock "Cavity Pole\nHz/sqrt(Hz)" SrcPort 1 DstBlock "Add2" DstPort 1 } Line { ZOrder 276 SrcBlock "Add2" SrcPort 1 Points [18, 0; 0, -10] DstBlock "Senser PDH\nV/Hz\n" DstPort 1 } Line { ZOrder 277 SrcBlock "Shot noise frequency\nHz/sqrt(Hz)" SrcPort 1 Points [-40, 0] DstBlock "Add2" DstPort 2 } Line { ZOrder 306 SrcBlock "Add3" SrcPort 1 Points [0, -43] Branch { ZOrder 307 DstBlock "Sum3" DstPort 2 } Branch { ZOrder 303 Points [110, 0] DstBlock "Sum5" DstPort 2 } } Line { ZOrder 305 SrcBlock "Hz / sqrtHz\n" SrcPort 1 Points [-45, 0] DstBlock "Add3" DstPort 2 } } }