Model { Name "exampleNB" Version 8.3 MdlSubVersion 0 SavedCharacterEncoding "UTF-8" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.212" NumModelReferences 0 NumTestPointedSignals 0 } SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [2119.0, 43.0, 1502.0, 1076.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [0] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1446.0, 911.0] ZoomFactor [1.0161104718066745] Offset [-70.220400092015836, 6.2219705549263864] } } } Created "Wed Sep 04 11:18:03 2013" Creator "nicolas" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "nicolas" ModifiedDateFormat "%" LastModifiedDate "Wed Oct 15 16:01:34 2014" RTWModifiedTimeStamp 335289691 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "disabled" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 6 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "CryoCav" signals_ [] overrideMode_ [0.0] Array { Type "Cell" Dimension 1 Cell "CryoCav" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell [] PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off CovSFcnEnable off CovBoundaryAbsTol 0.000010 CovBoundaryRelTol 0.010000 ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 7 Version "1.14.2" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 8 Version "1.14.2" StartTime "0.0" StopTime "10.0" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" EnableConcurrentExecution off ConcurrentTasks off Solver "ode45" SolverName "ode45" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 9 Version "1.14.2" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 10 Version "1.14.2" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off ParallelExecutionInRapidAccelerator on } Simulink.DebuggingCC { $ObjectID 11 Version "1.14.2" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "EnableAllAsWarning" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "warning" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" } Simulink.HardwareCC { $ObjectID 12 Version "1.14.2" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 13 Version "1.14.2" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 14 Version "1.14.2" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 15 Version "1.14.2" Array { Type "Cell" Dimension 9 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" PropName "DisabledProps" } SystemTargetFile "grt.tlc" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ProcessScript "" ConfigurationScript "" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Off" RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" DataInitializer "" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 16 Version "1.14.2" Array { Type "Cell" Dimension 21 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 17 Version "1.14.2" Array { Type "Cell" Dimension 16 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "PortableWordSizes" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "GenerateAllocFcn" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns on CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off GRTInterface off GenerateAllocFcn off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 1480, 285, 2360, 915 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 7 } Object { $PropName "DataTransfer" $ObjectID 18 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "on" RunInitForIconRedraw "off" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWSystemCode "Auto" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" FunctionInterfaceSpec "void_void" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" Opaque off MaskHideContents off SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off ContentPreviewEnabled off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Terminator } } System { Name "exampleNB" Location [2119, 43, 3621, 1119] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "102" ReportName "simulink-default.rpt" SIDHighWatermark "1257" Block { BlockType Reference Name "Cal" SID "36" Tag "NbNoiseCal" Ports [0, 1] Position [275, 532, 300, 548] ZOrder 64 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseCal" SourceType "" ContentPreviewEnabled off dof "'REFLI'" unit "'m/rt(Hz)'" } Block { BlockType Reference Name "FrequencyNoise" SID "209" Tag "NbNoiseSource" Ports [0, 1] Position [215, 192, 240, 208] ZOrder 76 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "1e-7" chan "''" groupNest "1" group "'Laser Frequency'" subgroup "''" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Integrator Name "Integrator" SID "1051" Ports [1, 1] Position [330, 185, 360, 215] ZOrder 157 } Block { BlockType Integrator Name "Integrator1" SID "1052" Ports [1, 1] Position [340, 840, 370, 870] ZOrder 158 BlockMirror on } Block { BlockType SubSystem Name "OptickleModel" SID "1213" Description "Autogenerated using buildOptickleSys('exampleOpt','f')" Ports [7, 7] Position [455, 78, 755, 662] ZOrder 164 BackgroundColor "purple" AttributesFormatString "%" RequestExecContextInheritance off System { Name "OptickleModel" Location [100, 100, 600, 900] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "AM.drive" SID "1214" Position [20, 50, 50, 70] ZOrder 2 IconDisplay "Port number" } Block { BlockType Inport Name "PM.drive" SID "1215" Position [20, 150, 50, 170] ZOrder 3 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Mod1.amp" SID "1216" Position [20, 250, 50, 270] ZOrder 4 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Mod1.phase" SID "1217" Position [20, 350, 50, 370] ZOrder 5 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "IX.pos" SID "1218" Position [20, 450, 50, 470] ZOrder 6 Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "EX.pos" SID "1219" Position [20, 550, 50, 570] ZOrder 7 Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "TRANS_SMIR.pos" SID "1220" Position [20, 650, 50, 670] ZOrder 8 Port "7" IconDisplay "Port number" } Block { BlockType Reference Name "EX_DC_Noise" SID "1221" Tag "NbNoiseSource" Ports [0, 1] Position [650, 600, 680, 620] ZOrder 28 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'EX_DC',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1.amp" "','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'EX_DC'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "IX_DC_Noise" SID "1222" Tag "NbNoiseSource" Ports [0, 1] Position [650, 500, 680, 520] ZOrder 25 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'IX_DC',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1.amp" "','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'IX_DC'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "REFL_DC_Noise" SID "1223" Tag "NbNoiseSource" Ports [0, 1] Position [650, 0, 680, 20] ZOrder 10 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'REFL_DC',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1.a" "mp','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'REFL_DC'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "REFL_I_Noise" SID "1224" Tag "NbNoiseSource" Ports [0, 1] Position [650, 100, 680, 120] ZOrder 13 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'REFL_I',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1.am" "p','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'REFL_I'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "REFL_Q_Noise" SID "1225" Tag "NbNoiseSource" Ports [0, 1] Position [650, 200, 680, 220] ZOrder 16 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'REFL_Q',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1.am" "p','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'REFL_Q'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Sum Name "Sum1" SID "1226" Ports [2, 1] Position [700, 50, 720, 70] ZOrder 11 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum2" SID "1227" Ports [2, 1] Position [700, 150, 720, 170] ZOrder 14 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum3" SID "1228" Ports [2, 1] Position [700, 250, 720, 270] ZOrder 17 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum4" SID "1229" Ports [2, 1] Position [700, 350, 720, 370] ZOrder 20 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum5" SID "1230" Ports [2, 1] Position [700, 450, 720, 470] ZOrder 23 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum6" SID "1231" Ports [2, 1] Position [700, 550, 720, 570] ZOrder 26 IconShape "round" Inputs "++|" } Block { BlockType Sum Name "Sum7" SID "1232" Ports [2, 1] Position [700, 650, 720, 670] ZOrder 29 IconShape "round" Inputs "++|" } Block { BlockType Reference Name "TRANSa_DC_Noise" SID "1233" Tag "NbNoiseSource" Ports [0, 1] Position [650, 300, 680, 320] ZOrder 19 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'TRANSa_DC',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1" ".amp','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'TRANSa_DC'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType Reference Name "TRANSb_DC_Noise" SID "1234" Tag "NbNoiseSource" Ports [0, 1] Position [650, 400, 680, 420] ZOrder 22 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSource" SourceType "" ContentPreviewEnabled off asd "optickleNoiseBlock(exampleOpt,f,'TRANSb_DC',makeOptickleDriveIndex(exampleOpt,{'AM.drive','PM.drive','Mod1" ".amp','Mod1.phase','IX.pos','EX.pos','TRANS_SMIR.pos'}))" chan "''" groupNest "2" group "'Quantum Vacuum'" subgroup "'TRANSb_DC'" subsubgroup "''" subsubsubgroup "''" } Block { BlockType SubSystem Name "exampleOpt" SID "1235" Description "flexTF: optickleFrd(exampleOpt,f)" Ports [7, 7] Position [200, 28, 500, 612] ZOrder 1 AttributesFormatString "%" RequestExecContextInheritance off System { Name "exampleOpt" Location [100, 100, 600, 900] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "AM.drive" SID "1236" Position [20, 50, 50, 70] ZOrder 2 IconDisplay "Port number" } Block { BlockType Inport Name "PM.drive" SID "1237" Position [20, 150, 50, 170] ZOrder 3 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Mod1.amp" SID "1238" Position [20, 250, 50, 270] ZOrder 4 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Mod1.phase" SID "1239" Position [20, 350, 50, 370] ZOrder 5 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "IX.pos" SID "1240" Position [20, 450, 50, 470] ZOrder 6 Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "EX.pos" SID "1241" Position [20, 550, 50, 570] ZOrder 7 Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "TRANS_SMIR.pos" SID "1242" Position [20, 650, 50, 670] ZOrder 8 Port "7" IconDisplay "Port number" } Block { BlockType Sum Name "Sum" SID "1243" Ports [7, 1] Position [400, 20, 425, 400] ZOrder 1 Inputs "+++++++" } Block { BlockType Outport Name "REFL_DC" SID "1244" Position [800, 50, 830, 70] ZOrder 9 IconDisplay "Port number" } Block { BlockType Outport Name "REFL_I" SID "1245" Position [800, 150, 830, 170] ZOrder 10 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "REFL_Q" SID "1246" Position [800, 250, 830, 270] ZOrder 11 Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "TRANSa_DC" SID "1247" Position [800, 350, 830, 370] ZOrder 12 Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "TRANSb_DC" SID "1248" Position [800, 450, 830, 470] ZOrder 13 Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "IX_DC" SID "1249" Position [800, 550, 830, 570] ZOrder 14 Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "EX_DC" SID "1250" Position [800, 650, 830, 670] ZOrder 15 Port "7" IconDisplay "Port number" } Line { ZOrder 1 SrcBlock "AM.drive" SrcPort 1 Points [165, 0; 0, -15] DstBlock "Sum" DstPort 1 } Line { ZOrder 2 SrcBlock "PM.drive" SrcPort 1 Points [165, 0; 0, -60] DstBlock "Sum" DstPort 2 } Line { ZOrder 3 SrcBlock "Mod1.amp" SrcPort 1 Points [330, 0] DstBlock "Sum" DstPort 3 } Line { ZOrder 4 SrcBlock "Mod1.phase" SrcPort 1 Points [330, 0] DstBlock "Sum" DstPort 4 } Line { ZOrder 5 SrcBlock "IX.pos" SrcPort 1 Points [330, 0] DstBlock "Sum" DstPort 5 } Line { ZOrder 6 SrcBlock "EX.pos" SrcPort 1 Points [330, 0] DstBlock "Sum" DstPort 6 } Line { ZOrder 7 SrcBlock "TRANS_SMIR.pos" SrcPort 1 Points [330, 0] DstBlock "Sum" DstPort 7 } Line { ZOrder 8 SrcBlock "Sum" SrcPort 1 Points [0, 0] Branch { ZOrder 9 Points [175, 0; 0, -150] DstBlock "REFL_DC" DstPort 1 } Branch { ZOrder 10 Points [175, 0; 0, -50] DstBlock "REFL_I" DstPort 1 } Branch { ZOrder 11 Points [175, 0; 0, 50] DstBlock "REFL_Q" DstPort 1 } Branch { ZOrder 12 Points [175, 0; 0, 150] DstBlock "TRANSa_DC" DstPort 1 } Branch { ZOrder 13 Points [175, 0; 0, 250] DstBlock "TRANSb_DC" DstPort 1 } Branch { ZOrder 14 Points [175, 0; 0, 350] DstBlock "IX_DC" DstPort 1 } Branch { ZOrder 15 Points [175, 0; 0, 450] DstBlock "EX_DC" DstPort 1 } } } } Block { BlockType Outport Name "REFL_DC" SID "1251" Position [800, 50, 830, 70] ZOrder 9 IconDisplay "Port number" } Block { BlockType Outport Name "REFL_I" SID "1252" Position [800, 150, 830, 170] ZOrder 12 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "REFL_Q" SID "1253" Position [800, 250, 830, 270] ZOrder 15 Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "TRANSa_DC" SID "1254" Position [800, 350, 830, 370] ZOrder 18 Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "TRANSb_DC" SID "1255" Position [800, 450, 830, 470] ZOrder 21 Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "IX_DC" SID "1256" Position [800, 550, 830, 570] ZOrder 24 Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "EX_DC" SID "1257" Position [800, 650, 830, 670] ZOrder 27 Port "7" IconDisplay "Port number" } Line { ZOrder 1 SrcBlock "AM.drive" SrcPort 1 Points [65, 0; 0, 5] DstBlock "exampleOpt" DstPort 1 } Line { ZOrder 2 SrcBlock "PM.drive" SrcPort 1 Points [55, 0; 0, -10] DstBlock "exampleOpt" DstPort 2 } Line { ZOrder 3 SrcBlock "Mod1.amp" SrcPort 1 Points [45, 0; 0, -25] DstBlock "exampleOpt" DstPort 3 } Line { ZOrder 4 SrcBlock "Mod1.phase" SrcPort 1 Points [35, 0; 0, -40] DstBlock "exampleOpt" DstPort 4 } Line { ZOrder 5 SrcBlock "IX.pos" SrcPort 1 Points [130, 0] DstBlock "exampleOpt" DstPort 5 } Line { ZOrder 6 SrcBlock "EX.pos" SrcPort 1 Points [65, 0; 0, -70] DstBlock "exampleOpt" DstPort 6 } Line { ZOrder 7 SrcBlock "TRANS_SMIR.pos" SrcPort 1 Points [65, 0; 0, -85] DstBlock "exampleOpt" DstPort 7 } Line { ZOrder 8 SrcBlock "REFL_DC_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum1" DstPort 1 } Line { ZOrder 9 SrcBlock "exampleOpt" SrcPort 1 Points [90, 0; 0, -5] DstBlock "Sum1" DstPort 2 } Line { ZOrder 10 SrcBlock "Sum1" SrcPort 1 DstBlock "REFL_DC" DstPort 1 } Line { ZOrder 11 SrcBlock "REFL_I_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum2" DstPort 1 } Line { ZOrder 12 SrcBlock "exampleOpt" SrcPort 2 Points [180, 0] DstBlock "Sum2" DstPort 2 } Line { ZOrder 13 SrcBlock "Sum2" SrcPort 1 DstBlock "REFL_I" DstPort 1 } Line { ZOrder 14 SrcBlock "REFL_Q_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum3" DstPort 1 } Line { ZOrder 15 SrcBlock "exampleOpt" SrcPort 3 Points [180, 0] DstBlock "Sum3" DstPort 2 } Line { ZOrder 16 SrcBlock "Sum3" SrcPort 1 DstBlock "REFL_Q" DstPort 1 } Line { ZOrder 17 SrcBlock "TRANSa_DC_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum4" DstPort 1 } Line { ZOrder 18 SrcBlock "exampleOpt" SrcPort 4 Points [180, 0] DstBlock "Sum4" DstPort 2 } Line { ZOrder 19 SrcBlock "Sum4" SrcPort 1 DstBlock "TRANSa_DC" DstPort 1 } Line { ZOrder 20 SrcBlock "TRANSb_DC_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum5" DstPort 1 } Line { ZOrder 21 SrcBlock "exampleOpt" SrcPort 5 Points [90, 0; 0, 55] DstBlock "Sum5" DstPort 2 } Line { ZOrder 22 SrcBlock "Sum5" SrcPort 1 DstBlock "TRANSb_DC" DstPort 1 } Line { ZOrder 23 SrcBlock "IX_DC_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum6" DstPort 1 } Line { ZOrder 24 SrcBlock "exampleOpt" SrcPort 6 Points [0, 70] DstBlock "Sum6" DstPort 2 } Line { ZOrder 25 SrcBlock "Sum6" SrcPort 1 DstBlock "IX_DC" DstPort 1 } Line { ZOrder 26 SrcBlock "EX_DC_Noise" SrcPort 1 Points [25, 0] DstBlock "Sum7" DstPort 1 } Line { ZOrder 27 SrcBlock "exampleOpt" SrcPort 7 Points [90, 0; 0, 85] DstBlock "Sum7" DstPort 2 } Line { ZOrder 28 SrcBlock "Sum7" SrcPort 1 DstBlock "EX_DC" DstPort 1 } } } Block { BlockType Reference Name "REFL" SID "38" Tag "NbNoiseSink" Ports [1, 1] Position [965, 192, 990, 208] ZOrder 142 BackgroundColor "orange" AttributesFormatString "%" LibraryVersion "1.35" SourceBlock "NbLibrary/NbNoiseSink" SourceType "" ContentPreviewEnabled off dof "'REFLI'" asd "''" chan "''" } Block { BlockType Terminator Name "Terminator" SID "1053" Position [1065, 190, 1085, 210] ZOrder 159 } Line { ZOrder 148 SrcBlock "Cal" SrcPort 1 DstBlock "OptickleModel" DstPort 6 } Line { ZOrder 100 SrcBlock "FrequencyNoise" SrcPort 1 DstBlock "Integrator" DstPort 1 } Line { ZOrder 149 SrcBlock "OptickleModel" SrcPort 2 Points [50, 0] Branch { ZOrder 106 Points [0, 655] DstBlock "Integrator1" DstPort 1 } Branch { ZOrder 103 DstBlock "REFL" DstPort 1 } } Line { ZOrder 146 SrcBlock "Integrator" SrcPort 1 DstBlock "OptickleModel" DstPort 2 } Line { ZOrder 147 SrcBlock "Integrator1" SrcPort 1 Points [-144, 0; 0, -400] DstBlock "OptickleModel" DstPort 5 } Line { ZOrder 108 SrcBlock "REFL" SrcPort 1 DstBlock "Terminator" DstPort 1 } Annotation { SID "1056" Name "optical plant from 'optFP' model" Position [532, 20, 674, 37] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off HorizontalAlignment "left" VerticalAlignment "top" ZOrder -1 } Annotation { SID "1055" Name "this is a stupid feedback filter" Position [289, 810, 421, 827] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off HorizontalAlignment "left" VerticalAlignment "top" ZOrder -2 } Annotation { SID "1054" Name "super low noise laser" Position [182, 147, 279, 164] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off HorizontalAlignment "left" VerticalAlignment "top" ZOrder -3 } } }